logo

Standards Manage Your Business

We Manage Your Standards

IEEE

IEEE Asset Identification for Electronic/White Paper : 2024

Asset Identification for Electronic Design IP

Standard Details

Abstract: The Accellera Security Annotation for Electronic Design Integration (SA-EDI) standard provides a framework for producing security assurance collateral for an IP. The root of the standard and its workflow is the identification of assets for a given IP. Once the assets are identified, the corresponding threats and attack surfaces can be determined to help an Integrator address risks in their integrated circuits (ICs). Therefore, if an asset was mistakenly identified, either a false positive or a false negative, the rest of the collateral would become invalid. Unfortunately, the standard provides little guidance on identifying assets and avoiding false positives/negatives. This paper proposes two methodologies for asset identification within an IP using conceptual and structural analysis (CSA) and points of influence and observation (PIO).

Keywords: assets, asset identification, integrated circuits, intellectual property, IP, P3164, white paper

General Information

Status : ACTIVE
Standard Type: Main
Document No: IEEE Asset Identification for Electronic/White Paper : 2024
Document Year: 2024

Life Cycle

Currently Viewing

ACTIVE
IEEE Asset Identification for Electronic/White Paper : 2024

Related Standards

Knowledge Corner

Expand Your Knowledge and Unlock Your Learning Potential - Your One-Stop Source for Information!

© Copyright 2024 BSB Edge Private Limited.

Enquire now +